summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/registre
diff options
context:
space:
mode:
authorgalmes2004-02-29 16:16:56 +0000
committergalmes2004-02-29 16:16:56 +0000
commita5650896d8e038c2938175c9ea92d7a9554f7f02 (patch)
tree1993529b09fe217b8b9ca8e81368905922b8213f /2004/n/fpga/src/registre
parent74113ba7738606383d83e44d8ab75d85d8fe1166 (diff)
Déplacement de fichiers.
Diffstat (limited to '2004/n/fpga/src/registre')
-rw-r--r--2004/n/fpga/src/registre/reg_rw.vhd3
1 files changed, 2 insertions, 1 deletions
diff --git a/2004/n/fpga/src/registre/reg_rw.vhd b/2004/n/fpga/src/registre/reg_rw.vhd
index 1c81139..32e940e 100644
--- a/2004/n/fpga/src/registre/reg_rw.vhd
+++ b/2004/n/fpga/src/registre/reg_rw.vhd
@@ -6,7 +6,8 @@
-- Principe :
-- Si (write et enable) alors sauvegarde entrée et copie entrée sur sortie.
-- Si (read et enable) alors copie dernière valeur sauvegardée sur entrée.
--- Si (pas enable) alors copie dernière valeur sauvegardée sur sortie.
+-- Si (pas enable) alors copie dernière valeur sauvegardée sur sortie et
+-- entrée en haute impédance.
library ieee;
use ieee.std_logic_1164.all;