summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/clockgene.vhd
diff options
context:
space:
mode:
authorprot2004-03-01 16:16:05 +0000
committerprot2004-03-01 16:16:05 +0000
commit16cbbd83d43093a12fbb18f0287fda5c286c28a7 (patch)
tree9fbd722678826f7502f099d0cc9dcffc9e0cfca6 /2004/n/fpga/src/portserie/clockgene.vhd
parent76098ead389c3803b9d5b47c8f6e915e1123b03a (diff)
Modif du txserie pour adaptiation a la fifo coregen
// // // // a l'UART efrei
Diffstat (limited to '2004/n/fpga/src/portserie/clockgene.vhd')
0 files changed, 0 insertions, 0 deletions