summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/packages/nono_const.vhd
diff options
context:
space:
mode:
authorgalmes2004-04-28 22:28:22 +0000
committergalmes2004-04-28 22:28:22 +0000
commitd957c76ea69df920b4a7a62d37ddeb937b608ae9 (patch)
tree4ed11bf1d0cbc572ad90c8bcdb99987fed946d44 /2004/n/fpga/src/packages/nono_const.vhd
parent3f9d590956b1859ca0acd69e7690c773a4cbef4c (diff)
pwm : La pwm marche, calibrée sur du 20kHz, pour une horloge de 40M.
Diffstat (limited to '2004/n/fpga/src/packages/nono_const.vhd')
-rw-r--r--2004/n/fpga/src/packages/nono_const.vhd1
1 files changed, 0 insertions, 1 deletions
diff --git a/2004/n/fpga/src/packages/nono_const.vhd b/2004/n/fpga/src/packages/nono_const.vhd
index fae3762..495705f 100644
--- a/2004/n/fpga/src/packages/nono_const.vhd
+++ b/2004/n/fpga/src/packages/nono_const.vhd
@@ -46,7 +46,6 @@ package nono_const is
-- Fréquence de fonctionnement de la PWM : 40MHz.
-- Nb de période d'horloge à 40MHz pour avoir 1us : 40 : x"28".
-- constant FREQ_CLK : T_OCTET := x"28"; -- 40M
- -- constant FREQ_CLK : T_OCTET := x"02"; -- ise
constant FREQ_CLK : T_OCTET := x"64"; -- 100M