summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/packages/isa_const.vhd
diff options
context:
space:
mode:
authorgalmes2004-02-29 16:16:56 +0000
committergalmes2004-02-29 16:16:56 +0000
commita5650896d8e038c2938175c9ea92d7a9554f7f02 (patch)
tree1993529b09fe217b8b9ca8e81368905922b8213f /2004/n/fpga/src/packages/isa_const.vhd
parent74113ba7738606383d83e44d8ab75d85d8fe1166 (diff)
Déplacement de fichiers.
Diffstat (limited to '2004/n/fpga/src/packages/isa_const.vhd')
-rw-r--r--2004/n/fpga/src/packages/isa_const.vhd33
1 files changed, 33 insertions, 0 deletions
diff --git a/2004/n/fpga/src/packages/isa_const.vhd b/2004/n/fpga/src/packages/isa_const.vhd
new file mode 100644
index 0000000..5f5d1d4
--- /dev/null
+++ b/2004/n/fpga/src/packages/isa_const.vhd
@@ -0,0 +1,33 @@
+-- isa_const.vhd
+-- Eurobot 2004 : APB Team
+-- Auteur : Pierre-André Galmes
+-- Fichier de déclaration de constantes.
+
+-- RQ : pour une indentation bien sous vim :
+-- 1 - ":set shiftwidth=4"
+-- 2 - se placer sous "package nono_const is"
+-- 3 - tapez : = puis shift+G
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_arith.all;
+use ieee.std_logic_unsigned.all;
+
+
+-- Constantes relatives au bus ISA
+package isa_const is
+
+ -- Temps d'une période d'horloge sur le bus ISA
+ constant ISA_CK_PERIOD : time := 50 ns;
+
+ -- Ligne RW : lecture et écriture
+ constant ISA_READ : std_logic := '0';
+ constant ISA_WRITE : std_logic := '1';
+
+ -- Nombre de bits du bus d'adresse
+ constant NB_BIT_ADDRESS_ISA : integer := 20;
+ subtype T_ADDRESS_ISA is std_logic_vector((NB_BIT_ADDRESS_ISA - 1) downto 0);
+
+end isa_const;
+
+