summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/modele
diff options
context:
space:
mode:
authorprot2004-02-28 12:03:41 +0000
committerprot2004-02-28 12:03:41 +0000
commit62ba8e5964a3178c67f5f6d775719e7ae3386d1e (patch)
tree21e0301f3ec97b82bac29a80c2835d2be57de3ef /2004/n/fpga/src/modele
parentfa12e44e41281809367270019488d54c0665a26c (diff)
MAJ avec elix
Diffstat (limited to '2004/n/fpga/src/modele')
-rw-r--r--2004/n/fpga/src/modele/isa_const.vhd6
1 files changed, 6 insertions, 0 deletions
diff --git a/2004/n/fpga/src/modele/isa_const.vhd b/2004/n/fpga/src/modele/isa_const.vhd
index 12ab384..5f5d1d4 100644
--- a/2004/n/fpga/src/modele/isa_const.vhd
+++ b/2004/n/fpga/src/modele/isa_const.vhd
@@ -23,5 +23,11 @@ package isa_const is
-- Ligne RW : lecture et écriture
constant ISA_READ : std_logic := '0';
constant ISA_WRITE : std_logic := '1';
+
+ -- Nombre de bits du bus d'adresse
+ constant NB_BIT_ADDRESS_ISA : integer := 20;
+ subtype T_ADDRESS_ISA is std_logic_vector((NB_BIT_ADDRESS_ISA - 1) downto 0);
+
end isa_const;
+