summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/modele
diff options
context:
space:
mode:
authorprot2004-04-03 18:18:36 +0000
committerprot2004-04-03 18:18:36 +0000
commit2a8c2d3c2521de1599f6dc0d5a6b116d9c28bea3 (patch)
treea01bb0350004cc8f0b11cc61b31bde2680051be3 /2004/n/fpga/src/modele
parent9af180b06c093eda9f73bc7e15626cf5ae7ef623 (diff)
Modif aprs cration du top fpga.vhd
Diffstat (limited to '2004/n/fpga/src/modele')
-rw-r--r--2004/n/fpga/src/modele/nono_const.vhd8
1 files changed, 6 insertions, 2 deletions
diff --git a/2004/n/fpga/src/modele/nono_const.vhd b/2004/n/fpga/src/modele/nono_const.vhd
index 82ad0d5..f784cb4 100644
--- a/2004/n/fpga/src/modele/nono_const.vhd
+++ b/2004/n/fpga/src/modele/nono_const.vhd
@@ -12,7 +12,8 @@ library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
-
+
+use work.isa_const.all;
-- Constantes relatives
package nono_const is
@@ -22,9 +23,12 @@ package nono_const is
constant CK_PERIOD : time := 30 ns;
-- diviseur pour le clockgene des ports série.
+ -- Pour 1.8432MHz, avec 1 de diviseur, on a 0%
+ constant DIVIS_CK_SERIAL :integer := 1;
+
-- Pour 33,33MHz, et avec 18 de diviseur, on obtient
-- une erreur sur le baudrate de 0.46%
- constant DIVIS_CK_SERIAL :integer := 18;
+
-- Taille d'une addresse sur la carte
-- RQ : 10 = nb suffisant