summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/modele/isa_const.vhd
diff options
context:
space:
mode:
authorprot2004-03-14 23:06:52 +0000
committerprot2004-03-14 23:06:52 +0000
commit35838095563561400ca5e46cc569e9149924578d (patch)
tree9feffe77cf4f394802748165573eac332c0b5d91 /2004/n/fpga/src/modele/isa_const.vhd
parent81202709845a46b2daf1fec32112652ea348942e (diff)
Ajout des constantes nono pour 33.33MHz
Diffstat (limited to '2004/n/fpga/src/modele/isa_const.vhd')
-rw-r--r--2004/n/fpga/src/modele/isa_const.vhd5
1 files changed, 3 insertions, 2 deletions
diff --git a/2004/n/fpga/src/modele/isa_const.vhd b/2004/n/fpga/src/modele/isa_const.vhd
index 5f5d1d4..cbc10d5 100644
--- a/2004/n/fpga/src/modele/isa_const.vhd
+++ b/2004/n/fpga/src/modele/isa_const.vhd
@@ -17,8 +17,9 @@ use ieee.std_logic_unsigned.all;
-- Constantes relatives au bus ISA
package isa_const is
- -- Temps d'une période d'horloge sur le bus ISA
- constant ISA_CK_PERIOD : time := 50 ns;
+ -- Temps d'une période d'horloge sur le bus ISA
+ -- bus à 8MHz
+ constant ISA_CK_PERIOD : time := 125 ns;
-- Ligne RW : lecture et écriture
constant ISA_READ : std_logic := '0';