summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/interrupt
diff options
context:
space:
mode:
authorgalmes2004-03-30 15:10:52 +0000
committergalmes2004-03-30 15:10:52 +0000
commit8e39188d2a674e7cc3ab0639c62e3e680bbed8fe (patch)
tree2d341f7596fd2ead29be050fddbb3c34cdb066c6 /2004/n/fpga/src/interrupt
parent33a615e793066c19388fed03aef70cc5213e6479 (diff)
interrupt : Version Fonctionnelle testée sur carte (avec caméra intégrée).
Diffstat (limited to '2004/n/fpga/src/interrupt')
-rw-r--r--2004/n/fpga/src/interrupt/interrupt.vhd12
1 files changed, 6 insertions, 6 deletions
diff --git a/2004/n/fpga/src/interrupt/interrupt.vhd b/2004/n/fpga/src/interrupt/interrupt.vhd
index 529e6d0..c94ca4c 100644
--- a/2004/n/fpga/src/interrupt/interrupt.vhd
+++ b/2004/n/fpga/src/interrupt/interrupt.vhd
@@ -34,12 +34,12 @@ architecture RTL of interrupt is
-- Définition des composants utilisés.
-- Ou à trois entrées.
-component or3 is
+component or3_nono is
port (
- in1 : in std_logic;
- in2 : in std_logic;
- in3 : in std_logic;
- or_out : out std_logic
+ or3_in1 : in std_logic;
+ or3_in2 : in std_logic;
+ or3_in3 : in std_logic;
+ or3_out : out std_logic
);
end component;
@@ -93,7 +93,7 @@ port map (
it_aux_bloc3
);
-IRQ_gen : or3
+IRQ_gen : or3_nono
port map (
it_aux_bloc1,
it_aux_bloc2,