summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/gpio/tristate.vhd
diff options
context:
space:
mode:
authorgalmes2004-02-24 21:30:40 +0000
committergalmes2004-02-24 21:30:40 +0000
commit3a46e687fadd28f93b3a67063e535615770a966d (patch)
tree34754ade1fc5c013c08c3701fbdcbca1382f44c4 /2004/n/fpga/src/gpio/tristate.vhd
parentf8ee5b24be92c6709781e17c6f8ff358f5986558 (diff)
Ajout de plein de fichier pour faire les gpio
Diffstat (limited to '2004/n/fpga/src/gpio/tristate.vhd')
-rw-r--r--2004/n/fpga/src/gpio/tristate.vhd31
1 files changed, 31 insertions, 0 deletions
diff --git a/2004/n/fpga/src/gpio/tristate.vhd b/2004/n/fpga/src/gpio/tristate.vhd
new file mode 100644
index 0000000..07e440e
--- /dev/null
+++ b/2004/n/fpga/src/gpio/tristate.vhd
@@ -0,0 +1,31 @@
+-- tristate.vhd
+-- Eurobot 2004 : APB Team
+-- Auteur : Pierre-André Galmes
+-- Registre dont la valeur est accessible en lecture.
+
+-- Principe :
+-- Bloc trois états (three-state) qui met les sorties en hautes impédance si
+-- elle ne sont pas "enabled".
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_arith.all;
+use ieee.std_logic_unsigned.all;
+
+use work.isa_const.all;
+use work.nono_const.all;
+
+
+entity tristate is
+ port (
+ enable : in std_logic;
+ data_in : in T_DATA;
+ data_out : out T_DATA
+ );
+end entity;
+
+architecture RTL of tristate is
+begin
+ -- partie combinatoire.
+ data_out <= data_in when (enable = '1') else (others => 'Z');
+end RTL;