summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/gpio/gpio_direction.vhd
diff options
context:
space:
mode:
authorgalmes2004-02-24 21:30:40 +0000
committergalmes2004-02-24 21:30:40 +0000
commit3a46e687fadd28f93b3a67063e535615770a966d (patch)
tree34754ade1fc5c013c08c3701fbdcbca1382f44c4 /2004/n/fpga/src/gpio/gpio_direction.vhd
parentf8ee5b24be92c6709781e17c6f8ff358f5986558 (diff)
Ajout de plein de fichier pour faire les gpio
Diffstat (limited to '2004/n/fpga/src/gpio/gpio_direction.vhd')
-rw-r--r--2004/n/fpga/src/gpio/gpio_direction.vhd40
1 files changed, 40 insertions, 0 deletions
diff --git a/2004/n/fpga/src/gpio/gpio_direction.vhd b/2004/n/fpga/src/gpio/gpio_direction.vhd
new file mode 100644
index 0000000..1daf3e2
--- /dev/null
+++ b/2004/n/fpga/src/gpio/gpio_direction.vhd
@@ -0,0 +1,40 @@
+-- gpio_direction.vhd
+-- Eurobot 2004 : APB Team
+-- Auteur : Pierre-André Galmes
+-- Registre dont la valeur est accessible en lecture.
+
+-- Principe :
+-- Bloc trois états (three-state) qui met les sorties en hautes impédance si
+-- elle ne sont pas "enabled".
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_arith.all;
+use ieee.std_logic_unsigned.all;
+
+use work.isa_const.all;
+use work.nono_const.all;
+
+
+entity gpio_direction is
+ port (
+ direction_mask : in T_DATA;
+ data_in : in T_DATA;
+ data_out : out T_DATA
+ );
+end entity;
+
+architecture RTL of gpio_direction is
+ -- constantes
+ constant DIR_OUT : std_logic := '1'; -- valeur de masque pour sortie
+begin
+ -- partie combinatoire.
+ data_out(7) <= data_in(7) when (direction_mask(7) = DIR_OUT) else 'Z';
+ data_out(6) <= data_in(6) when (direction_mask(6) = DIR_OUT) else 'Z';
+ data_out(5) <= data_in(5) when (direction_mask(5) = DIR_OUT) else 'Z';
+ data_out(4) <= data_in(4) when (direction_mask(4) = DIR_OUT) else 'Z';
+ data_out(3) <= data_in(3) when (direction_mask(3) = DIR_OUT) else 'Z';
+ data_out(2) <= data_in(2) when (direction_mask(2) = DIR_OUT) else 'Z';
+ data_out(1) <= data_in(1) when (direction_mask(1) = DIR_OUT) else 'Z';
+ data_out(0) <= data_in(0) when (direction_mask(0) = DIR_OUT) else 'Z';
+end RTL;