aboutsummaryrefslogtreecommitdiff
path: root/examples/stm32/f1/obldc/usart/usart.c
diff options
context:
space:
mode:
Diffstat (limited to 'examples/stm32/f1/obldc/usart/usart.c')
-rw-r--r--examples/stm32/f1/obldc/usart/usart.c2
1 files changed, 1 insertions, 1 deletions
diff --git a/examples/stm32/f1/obldc/usart/usart.c b/examples/stm32/f1/obldc/usart/usart.c
index 70959d3..855b40b 100644
--- a/examples/stm32/f1/obldc/usart/usart.c
+++ b/examples/stm32/f1/obldc/usart/usart.c
@@ -47,7 +47,7 @@ void usart_setup(void)
GPIO_CNF_OUTPUT_ALTFN_PUSHPULL, GPIO_USART1_RE_TX);
/* Setup UART parameters. */
- usart_set_baudrate(USART1, 230400, rcc_ppre2_frequency);
+ usart_set_baudrate(USART1, 230400);
usart_set_databits(USART1, 8);
usart_set_stopbits(USART1, USART_STOPBITS_1);
usart_set_mode(USART1, USART_MODE_TX);