summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/or/or8.vhd
blob: ae0d1591c2e6549e65a327a435393400e2a2e757 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
-- or8.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-Andr� Galmes
-- Ou � 8 entr�es.


library ieee;
use	ieee.std_logic_1164.all;
--use     ieee.std_logic_arith.all;
--use     ieee.std_logic_unsigned.all;

use	work.isa_const.all;
use	work.nono_const.all;


entity or8 is
    port (
	in0 : in std_logic;
	in1 : in std_logic;
	in2 : in std_logic;
	in3 : in std_logic;
	in4 : in std_logic;
	in5 : in std_logic;
	in6 : in std_logic;
	in7 : in std_logic;
	or_out : out std_logic
    );
end entity;

architecture RTL of or8 is
begin

	or_out <= '1' when 
		(in0 = '1' or 
		 in1 = '1' or 
		 in2 = '1' or 
		 in3 = '1' or 
		 in4 = '1' or 
		 in5 = '1' or 
		 in6 = '1' or 
		 in7 = '1'
		) else '0';
end RTL;