summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/registre/reg_rw.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/registre/reg_rw.vhd')
-rw-r--r--2004/n/fpga/src/registre/reg_rw.vhd3
1 files changed, 2 insertions, 1 deletions
diff --git a/2004/n/fpga/src/registre/reg_rw.vhd b/2004/n/fpga/src/registre/reg_rw.vhd
index 1c81139..32e940e 100644
--- a/2004/n/fpga/src/registre/reg_rw.vhd
+++ b/2004/n/fpga/src/registre/reg_rw.vhd
@@ -6,7 +6,8 @@
-- Principe :
-- Si (write et enable) alors sauvegarde entrée et copie entrée sur sortie.
-- Si (read et enable) alors copie dernière valeur sauvegardée sur entrée.
--- Si (pas enable) alors copie dernière valeur sauvegardée sur sortie.
+-- Si (pas enable) alors copie dernière valeur sauvegardée sur sortie et
+-- entrée en haute impédance.
library ieee;
use ieee.std_logic_1164.all;