summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/fifo/bch_fifodriver.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/portserie/fifo/bch_fifodriver.vhd')
-rw-r--r--2004/n/fpga/src/portserie/fifo/bch_fifodriver.vhd12
1 files changed, 6 insertions, 6 deletions
diff --git a/2004/n/fpga/src/portserie/fifo/bch_fifodriver.vhd b/2004/n/fpga/src/portserie/fifo/bch_fifodriver.vhd
index a109693..94fa9a2 100644
--- a/2004/n/fpga/src/portserie/fifo/bch_fifodriver.vhd
+++ b/2004/n/fpga/src/portserie/fifo/bch_fifodriver.vhd
@@ -41,7 +41,7 @@ signal data_count: std_logic_VECTOR(1 downto 0);
BEGIN
-Inst_fifodriver: fifodriver PORT MAP(
+uut: fifodriver PORT MAP(
clk => clk,
rst => rst,
readreq => readreq,
@@ -55,11 +55,11 @@ Inst_fifodriver: fifodriver PORT MAP(
);
- din <= std_logic_vector(unsigned(din) + 1) after 8 ns;
- rst<='1' , '0' after 10 ns;
- clk <= not clk after 1 ns;
- writereq <= not writereq after 13 ns;
- readreq <= not readreq after 17 ns;
+ din <= std_logic_vector(unsigned(din) + 1) after 400 ns;
+ rst<='1' , '0' after 510 ns;
+ clk <= not clk after 25 ns;
+ writereq <= not writereq after 700 ns;
+ readreq <= not readreq after 900 ns;