summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/gpio/reg_io.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/gpio/reg_io.vhd')
-rw-r--r--2004/n/fpga/src/gpio/reg_io.vhd57
1 files changed, 57 insertions, 0 deletions
diff --git a/2004/n/fpga/src/gpio/reg_io.vhd b/2004/n/fpga/src/gpio/reg_io.vhd
new file mode 100644
index 0000000..951c56d
--- /dev/null
+++ b/2004/n/fpga/src/gpio/reg_io.vhd
@@ -0,0 +1,57 @@
+-- reg_io.vhd
+-- Eurobot 2004 : APB Team
+-- Auteur : Pierre-André Galmes
+-- Registre dont on peut lire les valeurs sur data_out.
+--
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_arith.all;
+use ieee.std_logic_unsigned.all;
+
+
+use work.isa_const.all;
+use work.nono_const.all;
+
+
+entity reg_io is
+ port (
+ rst : in std_logic;
+
+ -- XXX : savoir si read = 0 ou 1 !!
+ rw : in std_logic; -- read (0) / write (1)
+ enable : in std_logic;
+ data_in : inout T_DATA;
+ data_out : inout T_DATA
+ --data_direction : in T_DATA
+ );
+end entity;
+
+architecture BEHAV of reg_io is
+ -- signal interne
+ signal REG : T_DATA;
+begin
+ -- process
+ process (rst, rw, enable, data_in)
+ begin
+ if (rst = '1') then
+ REG <= x"00";
+ -- data_in <= "ZZZZZZZZ";
+ else
+ if (enable = '1') then
+ if (rw = ISA_WRITE) then
+ REG <= data_in;
+ -- data_out <= REG;
+ elsif (rw = ISA_READ) then
+ data_in <= data_out;
+ -- data_in <= REG;
+ end if;
+ else
+ data_in <= "ZZZZZZZZ";
+ -- data_out <= REG;
+ end if;
+ end if;
+ end process;
+
+ data_out <= "ZZZZZZZZ" when (rw = ISA_READ and enable = '1') else REG;
+end BEHAV;