summaryrefslogtreecommitdiff
path: root/cesar/bsu/ntb
AgeCommit message (Collapse)Author
2011-02-02cesar/bsu: avoid valgrind error, refs #2256Nélio Laranjeiro
2010-12-07cesar/bsu/ntb: better ntb synchronisation, closes #2098Nélio Laranjeiro
2010-11-12cesar/bsu/ntb: reset frequency error when greater than 200 PPM, refs #1968Nélio Laranjeiro
2010-10-21cesar/bsu/ntb: add a frequency error security test, closes #1968Nélio Laranjeiro
2010-09-06cesar/bsu/ntb: remove useless SPOC includeNélio Laranjeiro
2010-07-26cesar/bsu: fix beacon entry countdown change, closes #1758laranjeiro
Change the behavior of countdown handling for STA and CCo. BSU is now able to loose some central beacons and stay synchronized (nek, snid, hm) with the AVLN is tracks. git-svn-id: svn+ssh://pessac/svn/cesar/trunk@7313 017c9cb6-072f-447c-8318-d5b54f68fe89
2010-06-22cesar/bsu/ntb: fix ntb offset tick to be computed in u32. closes #1682laranjeiro
git-svn-id: svn+ssh://pessac/svn/cesar/trunk@7250 017c9cb6-072f-447c-8318-d5b54f68fe89
2010-06-15cesar/bsu/ntb: update bsu ntb unit testlaranjeiro
Use data from the new simulation git-svn-id: svn+ssh://pessac/svn/cesar/trunk@7224 017c9cb6-072f-447c-8318-d5b54f68fe89
2010-06-15cesar/bsu/ntb: split frequency error and ntb_offset_tck calculationlaranjeiro
Split formula to be reader friendly. git-svn-id: svn+ssh://pessac/svn/cesar/trunk@7223 017c9cb6-072f-447c-8318-d5b54f68fe89
2010-06-15cesar/bsu/ntb: fix ntb_offset_tck computation, closes #1658laranjeiro
delay_sys_tck computed in bsu_ntb_offset function was computed from two variable containing different date kind, one was in sys_date and the other one in sta_date. git-svn-id: svn+ssh://pessac/svn/cesar/trunk@7222 017c9cb6-072f-447c-8318-d5b54f68fe89
2010-06-15cesar/bsu/ntb: fix frequency error computation, closes #1657laranjeiro
Replace a condition which can rollover by one which can not. git-svn-id: svn+ssh://pessac/svn/cesar/trunk@7221 017c9cb6-072f-447c-8318-d5b54f68fe89
2010-06-15cesar/bsu/ntb: change simulation partlaranjeiro
Remove scilab script and replace it by an python one. Simulation entries are the real ones, bts, preamble stadate, preamble sysdate, delay systck. Frequency error and ntb_tick_offset are used to initialise the first value for simulation (allowing the test to run from the last computed point). git-svn-id: svn+ssh://pessac/svn/cesar/trunk@7220 017c9cb6-072f-447c-8318-d5b54f68fe89
2010-06-15cesar/bsu/ntb: always store numerator value in PRATIC registerlaranjeiro
git-svn-id: svn+ssh://pessac/svn/cesar/trunk@7219 017c9cb6-072f-447c-8318-d5b54f68fe89
2010-05-17cesar/bsu: remove phy context from stubbed functionslaranjeiro
git-svn-id: svn+ssh://pessac/svn/cesar/trunk@7043 017c9cb6-072f-447c-8318-d5b54f68fe89
2010-05-17cesar/{bsu, cp/beacon}: modify phy_date use after merge with git-svnmercadie
git-svn-id: svn+ssh://pessac/svn/cesar/trunk@7041 017c9cb6-072f-447c-8318-d5b54f68fe89
2010-05-17cesar/bsu: changed callback to send beacon to CPlaranjeiro
bsu_beacon_params_t is now bsu_params_t which contains: * direction, TO PLC or FROM PLC. * frequency error as a 32bits with fixed point (30 bits after the dot). * tick offset corresponding to the offset of the NTB clock with the phy_date clock. git-svn-id: svn+ssh://pessac/svn/cesar/trunk@7035 017c9cb6-072f-447c-8318-d5b54f68fe89
2010-05-17cesar/bsu/ntb: add NTB sub module, refs #1244laranjeiro
git-svn-id: svn+ssh://pessac/svn/cesar/trunk@7017 017c9cb6-072f-447c-8318-d5b54f68fe89
2010-05-17cesar/bsu: add BSU and sub module headerslaranjeiro
git-svn-id: svn+ssh://pessac/svn/cesar/trunk@7015 017c9cb6-072f-447c-8318-d5b54f68fe89