summaryrefslogtreecommitdiff
path: root/digital/asserv/src/hdlcounter/common.v
blob: bfa6087cc35d25a1ca2a54c3e7ae36313342d073 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
// common.v - Common useful test utilities.
// hdlcounter - Incremental encoder counter on programmable logic. {{{
//
// Copyright (C) 2007 Nicolas Schodet
//
// Robot APB Team 2008.
//        Web: http://apbteam.org/
//      Email: team AT apbteam DOT org
//
// This program is free software; you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation; either version 2 of the License, or
// (at your option) any later version.
// 
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program; if not, write to the Free Software
// Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.
//
// }}}

    initial begin
	$timeformat (-9, 0, "", 3);
    end

    task assert;
	input a, b;
	begin
	    if (a != b) begin
		$display ("%t: assertion failled ", $time, a, " != ", b);
		if (!debug)
		    $finish;
	    end
	    else if (debug)
		$display ("%t: assertion ok ", $time, a, " == ", b);
	end
    endtask

    // How to make this works for any size?
    task assertv7;
	parameter size = 7;
	input [size-1:0] a, b;
	begin
	    if (a != b) begin
		$display ("%t: assertion failled ", $time, a, " != ", b);
		if (!debug)
		    $finish;
	    end
	    else if (debug)
		$display ("%t: assertion ok ", $time, a, " == ", b);
	end
    endtask

    task assertv8;
	parameter size = 8;
	input [size-1:0] a, b;
	begin
	    if (a != b) begin
		$display ("%t: assertion failled ", $time, a, " != ", b);
		if (!debug)
		    $finish;
	    end
	    else if (debug)
		$display ("%t: assertion ok ", $time, a, " == ", b);
	end
    endtask

    task assertv12;
	parameter size = 12;
	input [size-1:0] a, b;
	begin
	    if (a != b) begin
		$display ("%t: assertion failled ", $time, a, " != ", b);
		if (!debug)
		    $finish;
	    end
	    else if (debug)
		$display ("%t: assertion ok ", $time, a, " == ", b);
	end
    endtask