From b24866225a6301d3a663f874725e83c012dc25d3 Mon Sep 17 00:00:00 2001 From: Florent Duchon Date: Wed, 26 Dec 2012 17:36:00 +0100 Subject: digital/beacon: add bitcloud stack into common directory digital/zigbit --- .../stack/Components/MAC_PHY/include/rfInit.h | 45 ++++++++++++++++++++++ 1 file changed, 45 insertions(+) create mode 100644 digital/zigbit/bitcloud/stack/Components/MAC_PHY/include/rfInit.h (limited to 'digital/zigbit/bitcloud/stack/Components/MAC_PHY/include/rfInit.h') diff --git a/digital/zigbit/bitcloud/stack/Components/MAC_PHY/include/rfInit.h b/digital/zigbit/bitcloud/stack/Components/MAC_PHY/include/rfInit.h new file mode 100644 index 00000000..e1b4f127 --- /dev/null +++ b/digital/zigbit/bitcloud/stack/Components/MAC_PHY/include/rfInit.h @@ -0,0 +1,45 @@ +/**************************************************************************//** + \file rfInit.h + + \brief Prototype of RF init function. + + \author + Atmel Corporation: http://www.atmel.com \n + Support email: avr@atmel.com + + Copyright (c) 2008-2011, Atmel Corporation. All rights reserved. + Licensed under Atmel's Limited License Agreement (BitCloudTM). + + \internal + History: + 11/04/08 A. Mandychev - Created. +******************************************************************************/ + +#ifndef _RFINIT_H +#define _RFINIT_H + +/****************************************************************************** + Includes section +******************************************************************************/ + +/****************************************************************************** + Define(s) section +******************************************************************************/ + +/****************************************************************************** + Types section +******************************************************************************/ + +/****************************************************************************** + Prototypes section +******************************************************************************/ +/**************************************************************************//** + \brief Initializes RF chip. + \return none. +******************************************************************************/ +void RF_Init(void); + +#endif /*_RFINIT_H*/ + +// eof rfInit.h + -- cgit v1.2.3