summaryrefslogtreecommitdiff
path: root/n/avr/modules/uart/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'n/avr/modules/uart/Makefile')
-rw-r--r--n/avr/modules/uart/Makefile17
1 files changed, 17 insertions, 0 deletions
diff --git a/n/avr/modules/uart/Makefile b/n/avr/modules/uart/Makefile
new file mode 100644
index 0000000..4546be6
--- /dev/null
+++ b/n/avr/modules/uart/Makefile
@@ -0,0 +1,17 @@
+BASE = ../..
+PROGS = test_uart
+test_uart_SOURCES = test_uart.c
+DOC = uart.html
+EXTRACTDOC = uart.h avrconfig.h
+MODULES = uart
+CONFIGFILE = test_avrconfig.h
+# atmega8, atmega8535, atmega128...
+AVR_MCU = atmega8
+# -O2 : speed
+# -Os : size
+OPTIMIZE = -O2
+
+DEFS =
+LIBS =
+
+include $(BASE)/make/Makefile.gen