summaryrefslogtreecommitdiff
path: root/n/asserv/src/counter/test_quad_filter.v
diff options
context:
space:
mode:
Diffstat (limited to 'n/asserv/src/counter/test_quad_filter.v')
-rw-r--r--n/asserv/src/counter/test_quad_filter.v43
1 files changed, 43 insertions, 0 deletions
diff --git a/n/asserv/src/counter/test_quad_filter.v b/n/asserv/src/counter/test_quad_filter.v
new file mode 100644
index 0000000..8ec3d4b
--- /dev/null
+++ b/n/asserv/src/counter/test_quad_filter.v
@@ -0,0 +1,43 @@
+`timescale 1ns / 1ps
+
+module test_quad_filter();
+ reg clk;
+ reg rst;
+ reg q;
+ wire qf;
+
+ initial
+ begin
+ //$display ("time\t clk q qf");
+ //$monitor ("%g\t %b %b %b", $time, clk, q, qf);
+ $dumpfile ("test_quad_filter.vcd");
+ $dumpvars;
+ clk = 1;
+ rst = 0;
+ q = 0;
+ #1 rst = 1;
+ #4 q = 1;
+ #4 q = 1;
+ #4 q = 1;
+ #4 q = 1;
+ #4 q = 0;
+ #4 q = 0;
+ #4 q = 0;
+ #4 q = 0;
+ #4 q = 0;
+ #4 q = 1;
+ #4 q = 0;
+ #4 q = 0;
+ #4 q = 0;
+ #4 q = 0;
+ #4 q = 0;
+ #4 $finish;
+ end
+
+ // Clock generator.
+ always
+ #2 clk = !clk;
+
+ // Instantiation.
+ quad_filter UUT (clk, rst, q, qf);
+endmodule