summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/servo/convert_servo.vhd
blob: e1e690f7f230400d18d05d2e60e81a7cd9970d7a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
-- convert_servo.vhd 
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-Andr� Galmes
-- Module convertisseur [0-255] vers [SERVO_MIN_VALUE - SERVO_MAX_VALUE].

--LIBRARY
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;

use	work.nono_const.all;
use	work.servo_const.all;

--ENTITY
entity convert_servo is
port (
      data_in	: in T_OCTET;
      data_out	: out T_DOUBLE_OCTET
);
end entity;


architecture RTL of convert_servo is 
begin
        data_out <= (SERVO_VALUE_MIN + (data_in * SERVO_INC_INT));
            
end RTL;