summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/pwm/clk1us.vhd
blob: 2fc270593f3034a28bd46521101fb6d34b994382 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
-- clk1us.vhd 
-- Eurobot 2004 : APB Team
-- Auteur : Fid�le GAFAN et Pierre-Andr� Galmes
-- Module g�n�rateur d'horloge 1us-p�riodique.
--
-- REMARQUE(S): pour les constantes, se reporter � pwm_const.vhd


library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;

use	work.nono_const.all;
use	work.pwm_const.all;

--ENTITY
entity clk1us is
	port (
                RST		: in std_logic;
                CLK		: in std_logic;  --40MHz
                CLK1USOUT      : out std_logic
                );
end entity;

--ARCHITECTURE
architecture RTL of clk1us is 
    -- nombres de cycles de clk necessaires pour 1us incr�mente.
    signal compt: T_OCTET;
begin
    process(RST,CLK)
    begin
	if (RST = '1') then
            CLK1USOUT <= '0';
            compt <= x"00";
        elsif (CLK'event and CLK = '1') then
          compt <= compt + x"01";
          if (compt = x"00") then -- 30
              CLK1USOUT <= '1';
          else
              CLK1USOUT <= '0';
              if (compt = (PWM_NB_CYCLE_1US - x"01")) then
                  compt <= x"00"; -- 30
              end if;
          end if;
        end if;        
    end process;
end RTL;