summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/decodisa/bch_decodisa.vhd
blob: 91a6bc92e215acf55ea566e688dbef3e150c420a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
-- VHDL Test Bench Created from source file decodisa.vhd -- 02:25:56 03/17/2004
--
-- Notes: 
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends 
-- that these types always be used for the top-level I/O of a design in order 
-- to guarantee that the testbench will bind correctly to the post-implementation 
-- simulation model.
--
LIBRARY ieee;
use	ieee.std_logic_1164.all;
use	ieee.std_logic_arith.all;
use	ieee.std_logic_unsigned.all;

ENTITY decodisa_bch IS
END decodisa_bch;

ARCHITECTURE behavior OF decodisa_bch IS 

	COMPONENT decodisa
	PORT(
		adr_bus : IN std_logic_vector(23 downto 0);
		AEN : IN std_logic;
		IOR : IN std_logic;
		IOW : IN std_logic;          
		cs : OUT std_logic_vector(255 downto 0);
		rw : OUT std_logic;
		clk : OUT std_logic
		);
	END COMPONENT;

	SIGNAL adr_bus :  std_logic_vector(23 downto 0):=(others => '0');
	SIGNAL AEN :  std_logic:='0';
	SIGNAL IORosc :  std_logic:='0';
	SIGNAL IOWosc :  std_logic:='0';
	SIGNAL IOR :  std_logic:='0';
	SIGNAL IOW :  std_logic:='0';
	SIGNAL cs :  std_logic_vector(255 downto 0);
	SIGNAL rw :  std_logic;
	SIGNAL clk :  std_logic;

BEGIN

	uut: decodisa PORT MAP(
		adr_bus => adr_bus,
		AEN => AEN,
		IOR => IOR,
		IOW => IOW,
		cs => cs,
		rw => rw,
		clk => clk
	);

adr_bus <= conv_std_logic_vector(unsigned(adr_bus) + 1 , 24) after 120 ns;
aen<= not aen after 13000 ns;
iorosc<= not iorosc after 7000 ns;
iowosc<= not iowosc after 5000 ns;

ior<=not(iorosc and not aen);
iow<=not(iowosc and not aen);

END;