summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/adaptisa/bch_adaptisa.vhd
blob: a9ecb24adbe490caff5926325a9f972fcb609e93 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
-- modele.vhd
-- Eurobot 2004 : APB Team
-- Auteur : Pierre-Andr� Galmes
-- Fichier mod�le pour la d�claration de module.

library ieee;
use	ieee.std_logic_1164.all;
use     ieee.std_logic_arith.all;
use     ieee.std_logic_unsigned.all;

use	work.nono_const.all;
use	work.isa_const.all;


entity bch_modele is
end bch_modele;

architecture sim1 of bch_modele is
    component adaptisa
	generic(A_GENERAL:T_ADDRESS_ISA);
	port (
	    bus_address_ext : in T_ADDRESS_ISA;
	    bus_address_in : out T_ADDRESS
	);
    end component;

    signal bus_address : T_ADDRESS_ISA:="00101000010000100001";

begin
    U1 : adaptisa
    	generic map (A_GENERAL=>"00001000010000100001")
	port map (
	    bus_address_ext=>bus_address,
	    bus_address_in=>open
	);

    bus_address <= "00001000010000100001" after 50 ns,
		   "00101000010000000000" after 100 ns,
		   "00001000010000000000" after 150 ns;
end sim1;

configuration cf1_bch_modele of bch_modele is
    for sim1
        for all : adaptisa use entity work.adaptisa(rtl); end for;
    end for;
end cf1_bch_modele;