-- convert_servo.vhd -- Eurobot 2004 : APB Team -- Auteur : Pierre-André Galmes -- Module convertisseur [0-255] vers [SERVO_MIN_VALUE - SERVO_MAX_VALUE]. --LIBRARY library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use work.nono_const.all; use work.servo_const.all; --ENTITY entity convert_servo is port ( data_in : in T_OCTET; data_out : out T_DOUBLE_OCTET ); end entity; architecture RTL of convert_servo is begin data_out <= (SERVO_VALUE_MIN + (data_in * SERVO_INC_INT)); end RTL;