-- convert_pwm.vhd -- Eurobot 2004 : APB Team -- Auteur : Fidèle GAFAN et Pierre-André Galmes -- Module générateur de signaux PWM. -- Module convertisseur [0-255] vers [PWM_MIN_VALUE - PWM_MAX_VALUE]. --LIBRARY library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use work.nono_const.all; use work.pwm_const.all; --ENTITY entity convert_pwm is port ( data_in : in T_OCTET; data_out : out T_DOUBLE_OCTET --duree pdt laql la sortie ); end entity; architecture RTL of convert_pwm is begin data_out <= (PWM_VALUE_MIN + (data_in * PWM_INC_INT)); end RTL;