-- ------------------------------------------- -- Adaptateur de bus isa pour le fpga robot -- ------------------------------------------- library ieee; library ieee.std_logic_1164.all; entity adaptisa is port ( bus_address_in : in T_ADDRESS_ISA; cs: std_logic_vector(NB_ADDRESS_REG-1 downto 0); csbank: std_logic_vector(NB_ADDRESS_BANK-1 downto 0); ); end adaptisa; architecture rtl of adaptisa is begin process() begin end process; end rtl;