-- clockgene.vhd -- Eurobot 2004 : APB Team -- Auteur : Pierre Prot -- clock library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use work.nono_const.all; -- horloge de ref entity clockgene is port( ckin: in std_logic; ckout: out std_logic; param: in std_logic_vector(1 downto 0) ); end clockgene; architecture rtl of clockgene is begin ckout<=ckin; end rtl;