-- pwm_const.vhd -- Eurobot 2004 : APB Team -- Auteur : Fidèle GAFAN -- Fichier de déclaration de constantes de pwm. -- Pour ce module, suivant la fréquence de l'horloge de la carte, on génère une -- pwm dont la période varie. -- Pour 40MHz (période de 25ns) et PWM de ~20kHz library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use work.nono_const.all; -- Constantes relatives au module PWM. package pwm_const is -- Valeur pour avoir un rapport cyclique nul ! constant PWM_VALUE_MIN : T_DOUBLE_OCTET := x"0000"; -- Valeur pour avoir un rapport cyclique maximal ! -- rq : cette constante n'est pas utilisée ! constant PWM_VALUE_MAX : T_DOUBLE_OCTET := x"00FF"; -- 40M et 100M -- Nombre de cycle pour avoir une période. constant PWM_NB_CYCLE_PERIODE : T_DOUBLE_OCTET := x"00FF"; -- Nb de périodes de 200ns à ajouter pour un incrément de 1 dans le -- registre (incrément pour un interval). Le calcul est : -- (PWM_VALUE_MAX - PWM_VALUE_MIN)) / (255 - 0) = 1 constant PWM_INC_INT : T_OCTET := x"01"; end pwm_const;