-- isa_const.vhd -- Eurobot 2004 : APB Team -- Auteur : Pierre-André Galmes -- Fichier de déclaration de constantes. -- RQ : pour une indentation bien sous vim : -- 1 - ":set shiftwidth=4" -- 2 - se placer sous "package nono_const is" -- 3 - tapez : = puis shift+G library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -- Constantes relatives au bus ISA package isa_const is -- Temps d'une période d'horloge sur le bus ISA --constant ISA_CK_PERIOD : time := 50 ns; -- Ligne RW : lecture et écriture constant ISA_READ : std_logic := '1'; constant ISA_WRITE : std_logic := '0'; -- Comportement de la ligne IRQ. constant IRQ_ON : std_logic := '0'; constant IRQ_OFF : std_logic := '1'; -- TODO : est-ce pas 'Z' ? -- Nombre de bits du bus d'adresse constant NB_BIT_ADDRESS_ISA : integer := 20; subtype T_ADDRESS_ISA is std_logic_vector( (NB_BIT_ADDRESS_ISA - 1) downto 0); end isa_const;