-- or3.vhd -- Eurobot 2004 : APB Team -- Auteur : Pierre-André Galmes -- Ou à trois entrées. library ieee; use ieee.std_logic_1164.all; -- Les packages à nous. use work.isa_const.all; use work.nono_const.all; entity or3_nono is port ( or3_in1 : in std_logic; or3_in2 : in std_logic; or3_in3 : in std_logic; or3_out : out std_logic ); end entity; architecture RTL of or3_nono is begin or3_out <= '1' when (or3_in1 = '1' or or3_in2 = '1' or or3_in3 ='1') else '0'; end RTL;