-- conserv8.vhd -- Eurobot 2004 : APB Team -- Auteur : Pierre-André Galmes -- Test de conserv1. library ieee; use ieee.std_logic_1164.all; --use ieee.std_logic_arith.all; --use ieee.std_logic_unsigned.all; use work.isa_const.all; use work.nono_const.all; entity conserv8 is port ( clk : in std_logic; rst : in std_logic; data_in : in T_DATA; data_out : out T_DATA ); end conserv8; architecture RTL of conserv8 is component conserv1 port ( clk : in std_logic; rst : in std_logic; pin_in : in std_logic; pin_out : out std_logic ); end component; -- définiton des signaux --signal clk : std_logic; --signal rst : std_logic; --signal pin_in : std_logic; --signal pin_out : std_logic; begin pin0 : conserv1 port map (clk, rst, data_in(0), data_out(0)); pin1 : conserv1 port map (clk, rst, data_in(1), data_out(1)); pin2 : conserv1 port map (clk, rst, data_in(2), data_out(2)); pin3 : conserv1 port map (clk, rst, data_in(3), data_out(3)); pin4 : conserv1 port map (clk, rst, data_in(4), data_out(4)); pin5 : conserv1 port map (clk, rst, data_in(5), data_out(5)); pin6 : conserv1 port map (clk, rst, data_in(6), data_out(6)); pin7 : conserv1 port map (clk, rst, data_in(7), data_out(7)); end RTL;