-- bch_conserv.vhd -- Eurobot 2004 : APB Team -- Auteur : Pierre-André Galmes -- Test de conserv. library ieee; use ieee.std_logic_1164.all; --use ieee.std_logic_arith.all; --use ieee.std_logic_unsigned.all; use work.isa_const.all; use work.nono_const.all; entity bch_conserv is end bch_conserv; architecture sim1 of bch_conserv is component conserv port ( clk : in std_logic; rst : in std_logic; data_in : in T_DATA; data_out : out T_DATA ); end component; -- définiton des signaux signal clk : std_logic := '0'; signal rst : std_logic; signal data_in : T_DATA; signal data_out : T_DATA; begin U1 : conserv port map ( clk => clk, rst => rst, data_in => data_in, data_out => data_out ); clk <= not clk after CK_PERIOD/2; rst <= '1', '0' after CK_PERIOD, '1' after 7*CK_PERIOD, '0' after 8*CK_PERIOD; data_in <= x"01", x"00" after 2*CK_PERIOD, x"08" after 5*CK_PERIOD, x"01" after 7*CK_PERIOD, x"00" after 9*CK_PERIOD; --x"03" after 5*CK_PERIOD; end sim1; configuration cf1_bch_conserv of bch_conserv is for sim1 for all : conserv use entity work.conserv(RTL); end for; end for; end cf1_bch_conserv;