-- bch_IRQ_manager.vhd -- Eurobot 2004 : APB Team -- Auteur : Pierre-André Galmes -- Test de IRQ_manager. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use work.isa_const.all; use work.nono_const.all; entity bch_IRQ_manager is end bch_IRQ_manager; architecture sim1 of bch_IRQ_manager is component IRQ_manager port ( it_detected : in std_logic_vector(2 downto 0); IRQ : out std_logic ); end component; -- définiton des signaux signal it_detected : std_logic_vector(2 downto 0); signal IRQ : std_logic; begin U1 : IRQ_manager port map ( it_detected => it_detected, IRQ => IRQ ); it_detected <= "000", "001" after 2*CK_PERIOD, "000" after 3*CK_PERIOD, "010" after 4*CK_PERIOD, "000" after 5*CK_PERIOD, "100" after 6*CK_PERIOD, "000" after 7*CK_PERIOD; --x"03" after 5*CK_PERIOD; end sim1; configuration cf1_bch_IRQ_manager of bch_IRQ_manager is for sim1 for all : IRQ_manager use entity work.IRQ_manager(RTL); end for; end for; end cf1_bch_IRQ_manager;