-- modele.vhd -- Eurobot 2004 : APB Team -- Auteur : Pierre-André Galmes -- Fichier modèle pour la déclaration de module. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use work.nono_const.all; use work.isa_const.all; entity bch_modele is end bch_modele; architecture sim1 of bch_modele is component adaptisa generic(A_GENERAL:T_ADDRESS_ISA); port ( bus_address_ext : in T_ADDRESS_ISA; bus_address_in : out T_ADDRESS ); end component; signal bus_address : T_ADDRESS_ISA:="00101000010000100001"; begin U1 : adaptisa generic map (A_GENERAL=>"00001000010000100001") port map ( bus_address_ext=>bus_address, bus_address_in=>open ); bus_address <= "00001000010000100001" after 50 ns, "00101000010000000000" after 100 ns, "00001000010000000000" after 150 ns; end sim1; configuration cf1_bch_modele of bch_modele is for sim1 for all : adaptisa use entity work.adaptisa(rtl); end for; end for; end cf1_bch_modele;