From 9bde55f8269c5f5187937144053a5ea1e9caa419 Mon Sep 17 00:00:00 2001 From: galmes Date: Sun, 29 Feb 2004 16:32:59 +0000 Subject: Déplacement du décodeur. --- 2004/n/fpga/src/gpio/bch_decodeur.vhd | 76 ----------------------------------- 2004/n/fpga/src/gpio/decodeur.vhd | 45 --------------------- 2 files changed, 121 deletions(-) delete mode 100644 2004/n/fpga/src/gpio/bch_decodeur.vhd delete mode 100644 2004/n/fpga/src/gpio/decodeur.vhd diff --git a/2004/n/fpga/src/gpio/bch_decodeur.vhd b/2004/n/fpga/src/gpio/bch_decodeur.vhd deleted file mode 100644 index d2ec51d..0000000 --- a/2004/n/fpga/src/gpio/bch_decodeur.vhd +++ /dev/null @@ -1,76 +0,0 @@ --- decodeur.vhd --- Eurobot 2004 : APB Team --- Auteur : Pierre-André Galmes --- Fichier modèle pour la déclaration de module. - -library ieee; -use ieee.std_logic_1164.all; -use ieee.std_logic_arith.all; -use ieee.std_logic_unsigned.all; - -use work.nono_const.all; - - -entity bch_decodeur is -end bch_decodeur; - -architecture sim1 of bch_decodeur is - - component decodeur - generic ( - -- adresses des différents registres du module. - A_REG0 : T_ADDRESS; - A_REG1 : T_ADDRESS; - A_REG2 : T_ADDRESS; - A_REG3 : T_ADDRESS - -- si autre choses à déclarer... - ); - port ( - -- TODO : utile la clock ? - --clk : in std_logic; - bus_address : in T_ADDRESS; - enable0 : out std_logic; - enable1 : out std_logic; - enable2 : out std_logic; - enable3 : out std_logic - ); - end component; - - -- définiton des signaux - --signal clk : std_logic := '0'; - signal bus_address : T_ADDRESS; - signal enable0 : std_logic; - signal enable1 : std_logic; - signal enable2 : std_logic; - signal enable3 : std_logic; - -begin - U1 : decodeur - generic map ( - -- Définition des addresses. - A_REG0 => A_IO1_REG_DATA, - A_REG1 => A_IO1_REG_DIRECTION, - A_REG2 => A_IO1_REG_INTERRUPT_MASK, - A_REG3 => A_IO1_READ_OUTPUT - ) - port map ( - bus_address => bus_address, - enable0 => enable0, - enable1 => enable1, - enable2 => enable2, - enable3 => enable3 - ); - - --clk <= not clk after (CK_PERIOD/2); - bus_address <= A_IO1_REG_DIRECTION, - A_IO1_READ_OUTPUT after 3*CK_PERIOD, - A_IO1_REG_INTERRUPT_MASK after 5*CK_PERIOD, - A_IO1_REG_DATA after 7*CK_PERIOD; -end sim1; - -configuration cf1_bch_decodeur of bch_decodeur is - for sim1 - for all : decodeur use entity work.decodeur(RTL); end for; - end for; -end cf1_bch_decodeur; - diff --git a/2004/n/fpga/src/gpio/decodeur.vhd b/2004/n/fpga/src/gpio/decodeur.vhd deleted file mode 100644 index ed9232e..0000000 --- a/2004/n/fpga/src/gpio/decodeur.vhd +++ /dev/null @@ -1,45 +0,0 @@ --- decodeur4.vhd --- Eurobot 2004 : APB Team --- Auteur : Pierre-André Galmes --- Décodeur 4 addresse. - -library ieee; -use ieee.std_logic_1164.all; - --- TODO : si on décommente les lignes du dessous, on a des Warning !! Est-ce --- normal ? Cela vient-il d'un problème de programmation caché ? Est-ce des --- conflits entre les librairies ? ---use ieee.std_logic_arith.all; ---use ieee.std_logic_unsigned.all; - -use work.nono_const.all; - -entity decodeur4 is - generic ( - -- adresses des différents registres du module. - A_REG0 : T_ADDRESS; - A_REG1 : T_ADDRESS; - A_REG2 : T_ADDRESS; - A_REG3 : T_ADDRESS - -- si autre choses à déclarer... - ); - port ( - -- TODO : utile la clock ? - --clk : in std_logic; - bus_address : in T_ADDRESS; - enable0 : out std_logic; - enable1 : out std_logic; - enable2 : out std_logic; - enable3 : out std_logic - ); -end entity; - -architecture RTL of decodeur4 is -begin - - -- process combinatoire. - enable0 <= '1' when (bus_address = A_REG0) else '0'; - enable1 <= '1' when (bus_address = A_REG1) else '0'; - enable2 <= '1' when (bus_address = A_REG2) else '0'; - enable3 <= '1' when (bus_address = A_REG3) else '0'; -end RTL; -- cgit v1.2.3