From 35838095563561400ca5e46cc569e9149924578d Mon Sep 17 00:00:00 2001 From: prot Date: Sun, 14 Mar 2004 23:06:52 +0000 Subject: Ajout des constantes nono pour 33.33MHz --- 2004/n/fpga/src/modele/isa_const.vhd | 5 +++-- 2004/n/fpga/src/modele/nono_const.vhd | 10 ++++++++-- 2 files changed, 11 insertions(+), 4 deletions(-) (limited to '2004') diff --git a/2004/n/fpga/src/modele/isa_const.vhd b/2004/n/fpga/src/modele/isa_const.vhd index 5f5d1d4..cbc10d5 100644 --- a/2004/n/fpga/src/modele/isa_const.vhd +++ b/2004/n/fpga/src/modele/isa_const.vhd @@ -17,8 +17,9 @@ use ieee.std_logic_unsigned.all; -- Constantes relatives au bus ISA package isa_const is - -- Temps d'une période d'horloge sur le bus ISA - constant ISA_CK_PERIOD : time := 50 ns; + -- Temps d'une période d'horloge sur le bus ISA + -- bus à 8MHz + constant ISA_CK_PERIOD : time := 125 ns; -- Ligne RW : lecture et écriture constant ISA_READ : std_logic := '0'; diff --git a/2004/n/fpga/src/modele/nono_const.vhd b/2004/n/fpga/src/modele/nono_const.vhd index e7b0454..82ad0d5 100644 --- a/2004/n/fpga/src/modele/nono_const.vhd +++ b/2004/n/fpga/src/modele/nono_const.vhd @@ -17,8 +17,14 @@ use ieee.std_logic_unsigned.all; -- Constantes relatives package nono_const is - -- Temps d'une période d'horloge sur la carte - constant CK_PERIOD : time := 10 ns; + -- Temps d'une période d'horloge sur la carte + -- f=33,33MHz + constant CK_PERIOD : time := 30 ns; + + -- diviseur pour le clockgene des ports série. + -- Pour 33,33MHz, et avec 18 de diviseur, on obtient + -- une erreur sur le baudrate de 0.46% + constant DIVIS_CK_SERIAL :integer := 18; -- Taille d'une addresse sur la carte -- RQ : 10 = nb suffisant -- cgit v1.2.3