From fdd6216054017f4aded7501d4a6e725710438a35 Mon Sep 17 00:00:00 2001 From: prot Date: Mon, 11 Oct 2004 23:15:47 +0000 Subject: Patch du "trou décodisa" - enlevé l'inclusion du fichier decodisa.tex - rajouté quelques lignes d'explications succintes --- 2004/n/fpga/doc/dcd/Makefile | 2 +- 2004/n/fpga/doc/dcd/rapport.tex | 13 ++++++++++++- 2 files changed, 13 insertions(+), 2 deletions(-) (limited to '2004/n') diff --git a/2004/n/fpga/doc/dcd/Makefile b/2004/n/fpga/doc/dcd/Makefile index 692d66d..220f03e 100644 --- a/2004/n/fpga/doc/dcd/Makefile +++ b/2004/n/fpga/doc/dcd/Makefile @@ -1,4 +1,4 @@ -SUBDIR= interrupt gpio ovcam portserie images pwm decodisa +SUBDIR= interrupt gpio ovcam portserie images pwm #decodisa all: for i in $(SUBDIR); do (cd $$i; $(MAKE) all); done diff --git a/2004/n/fpga/doc/dcd/rapport.tex b/2004/n/fpga/doc/dcd/rapport.tex index deaaf57..9c87941 100644 --- a/2004/n/fpga/doc/dcd/rapport.tex +++ b/2004/n/fpga/doc/dcd/rapport.tex @@ -157,7 +157,18 @@ IRQ. % Les différents modules de la carte \section{Le décodage du bus ISA} -\input{./decodisa/decodisa.tex} +%\input{./decodisa/decodisa.tex} +Suite à un problème sur l'archivage cvs, cette partie a malencontreusement +disparu. + +Sachez juste que le module 'decodisa' permet de décoder l'adresse ISA. Pour +cela, il décode les 16 MSB du bus d'adresse et les compare à l'adresse que +l'on a attribuée au FPGA. Si cette adresse est bonne alors il décode les 8 LSB +qui correspondent à l'adressage des registres internes. + +Il effectur aussi la conversion des signaux de controle de bus ISA (AEN,IOR,IOW) +vers des signaux de controle de bus internes (clk, rw). + \pagebreak \section{La gestion des interruptions} -- cgit v1.2.3