From 05c64845c5516d30cb6dee5a6e30aa749c8e5222 Mon Sep 17 00:00:00 2001 From: galmes Date: Mon, 1 Mar 2004 07:45:44 +0000 Subject: Décodeur déplacé dans le dossier registre. --- 2004/n/fpga/src/decodisa/bch_decodeur4.vhd | 7 +- 2004/n/fpga/src/decodisa/decodeur4.vhd | 4 +- 2004/n/fpga/src/test/decodeur4.sws | 152 ++++++++++++++++++++++++++++ 2004/n/fpga/src/test/tristate.sws | 157 +++++++++++++++++++++++++++++ 4 files changed, 315 insertions(+), 5 deletions(-) create mode 100644 2004/n/fpga/src/test/decodeur4.sws create mode 100644 2004/n/fpga/src/test/tristate.sws (limited to '2004/n') diff --git a/2004/n/fpga/src/decodisa/bch_decodeur4.vhd b/2004/n/fpga/src/decodisa/bch_decodeur4.vhd index 2f7ca18..be1d071 100644 --- a/2004/n/fpga/src/decodisa/bch_decodeur4.vhd +++ b/2004/n/fpga/src/decodisa/bch_decodeur4.vhd @@ -17,8 +17,8 @@ end bch_decodeur4; architecture sim1 of bch_decodeur4 is component decodeur4 - generic ( - -- adresses des différents registres du module. + generic ( + -- adresses des différents registres du module. A_REG0 : T_ADDRESS; A_REG1 : T_ADDRESS; A_REG2 : T_ADDRESS; @@ -33,7 +33,7 @@ architecture sim1 of bch_decodeur4 is enable1 : out std_logic; enable2 : out std_logic; enable3 : out std_logic - ); + ); end component; -- définiton des signaux @@ -68,6 +68,7 @@ begin A_IO1_REG_DATA after 7*CK_PERIOD; end sim1; + configuration cf1_bch_decodeur4 of bch_decodeur4 is for sim1 for all : decodeur4 use entity work.decodeur4(RTL); end for; diff --git a/2004/n/fpga/src/decodisa/decodeur4.vhd b/2004/n/fpga/src/decodisa/decodeur4.vhd index 6ecb12e..66b507c 100644 --- a/2004/n/fpga/src/decodisa/decodeur4.vhd +++ b/2004/n/fpga/src/decodisa/decodeur4.vhd @@ -14,7 +14,7 @@ use ieee.std_logic_1164.all; use work.nono_const.all; -entity decodeur is +entity decodeur4 is generic ( -- adresses des différents registres du module. A_REG0 : T_ADDRESS; @@ -34,7 +34,7 @@ entity decodeur is ); end entity; -architecture RTL of decodeur is +architecture RTL of decodeur4 is begin -- process (clk) diff --git a/2004/n/fpga/src/test/decodeur4.sws b/2004/n/fpga/src/test/decodeur4.sws new file mode 100644 index 0000000..3d18b81 --- /dev/null +++ b/2004/n/fpga/src/test/decodeur4.sws @@ -0,0 +1,152 @@ +# +# This file has been automatically generated by the Symphony EDA IDE +# Please do NOT modify this file manually. If you do, do it with +# extreme care. This file represents your project settings. Corrupting +# this file can render this file useless!!! +# +# Begin version identification section +[version] + version = 1 +[] +# Begin libraries section (used by all tools) +[libraries] + ieee = $SYMPHONYEDA/lib/ieee/ieee.sym + decodeur4 = decodeur4.sym +[] +# End of libraries section + [options] + [booloption] + name = -strict + value = 0 + invert = 0 + [] + [booloption] + name = -s + value = 0 + invert = 0 + [] + [stringoption] + name = -breakon + value = FAILURE + [] + [stringoption] + name = -stdin + value = "" + [] + [stringoption] + name = -stdout + value = "" + [] + [stringoption] + name = -coverage + value = "" + [] + [multistringoption] + name = -nowarn + [] + [multistringoption] + name = -noaccel + [] + [multistringoption] + name = -sdftyp + [] + [multistringoption] + name = -sdfmin + [] + [multistringoption] + name = -sdfmax + [] + [] +# Begin description of library ieee +[library] + name = ieee + [options] + [booloption] + name = -87 + value = 0 + invert = 0 + [] + [booloption] + name = -x + value = 0 + invert = 0 + [] + [booloption] + name = -s + value = 1 + invert = 0 + [] + [booloption] + name = -strict + value = 0 + invert = 0 + [] + [intoption] + name = -maxerrors + value = 10 + [] + [booloption] + name = -autoorder + value = 1 + invert = 0 + [] + [] +[] +# End description of library ieee +# Begin description of library decodeur4 +[library] + name = decodeur4 + toplevel = cf1_bch_decodeur4 + [options] + [booloption] + name = -87 + value = 0 + invert = 0 + [] + [booloption] + name = -x + value = 0 + invert = 0 + [] + [booloption] + name = -s + value = 1 + invert = 0 + [] + [booloption] + name = -strict + value = 0 + invert = 0 + [] + [intoption] + name = -maxerrors + value = 10 + [] + [booloption] + name = -autoorder + value = 1 + invert = 0 + [] + [] + [file] + name = ../packages/nono_const.vhd + [options] + [] + [] + [file] + name = ../decodisa/decodeur4.vhd + [options] + [] + [] + [file] + name = ../decodisa/bch_decodeur4.vhd + [options] + [] + [] +[] +# End description of library decodeur4 +# Begin various workspace properties +[properties] + work =decodeur4 +[] +# End workspace properties diff --git a/2004/n/fpga/src/test/tristate.sws b/2004/n/fpga/src/test/tristate.sws new file mode 100644 index 0000000..b1c9a09 --- /dev/null +++ b/2004/n/fpga/src/test/tristate.sws @@ -0,0 +1,157 @@ +# +# This file has been automatically generated by the Symphony EDA IDE +# Please do NOT modify this file manually. If you do, do it with +# extreme care. This file represents your project settings. Corrupting +# this file can render this file useless!!! +# +# Begin version identification section +[version] + version = 1 +[] +# Begin libraries section (used by all tools) +[libraries] + ieee = $SYMPHONYEDA/lib/ieee/ieee.sym + tristate = tristate.sym +[] +# End of libraries section + [options] + [booloption] + name = -strict + value = 0 + invert = 0 + [] + [booloption] + name = -s + value = 0 + invert = 0 + [] + [stringoption] + name = -breakon + value = FAILURE + [] + [stringoption] + name = -stdin + value = "" + [] + [stringoption] + name = -stdout + value = "" + [] + [stringoption] + name = -coverage + value = "" + [] + [multistringoption] + name = -nowarn + [] + [multistringoption] + name = -noaccel + [] + [multistringoption] + name = -sdftyp + [] + [multistringoption] + name = -sdfmin + [] + [multistringoption] + name = -sdfmax + [] + [] +# Begin description of library ieee +[library] + name = ieee + [options] + [booloption] + name = -87 + value = 0 + invert = 0 + [] + [booloption] + name = -x + value = 0 + invert = 0 + [] + [booloption] + name = -s + value = 1 + invert = 0 + [] + [booloption] + name = -strict + value = 0 + invert = 0 + [] + [intoption] + name = -maxerrors + value = 10 + [] + [booloption] + name = -autoorder + value = 1 + invert = 0 + [] + [] +[] +# End description of library ieee +# Begin description of library tristate +[library] + name = tristate + toplevel = cf1_bch_tristate + [options] + [booloption] + name = -87 + value = 0 + invert = 0 + [] + [booloption] + name = -x + value = 0 + invert = 0 + [] + [booloption] + name = -s + value = 1 + invert = 0 + [] + [booloption] + name = -strict + value = 0 + invert = 0 + [] + [intoption] + name = -maxerrors + value = 10 + [] + [booloption] + name = -autoorder + value = 1 + invert = 0 + [] + [] + [file] + name = ../packages/isa_const.vhd + [options] + [] + [] + [file] + name = ../packages/nono_const.vhd + [options] + [] + [] + [file] + name = ../three-state/tristate.vhd + [options] + [] + [] + [file] + name = ../three-state/bch_tristate.vhd + [options] + [] + [] +[] +# End description of library tristate +# Begin various workspace properties +[properties] + work =tristate +[] +# End workspace properties -- cgit v1.2.3