From 7bd9395bfaaa844fcab0f45988eb95b9eec9c817 Mon Sep 17 00:00:00 2001 From: galmes Date: Wed, 28 Apr 2004 19:04:02 +0000 Subject: pwm : Ajout du dernier fichier pour que le pwm marche trop bien de la balle qui tue et tout... bon, je refait pas tout le speach, il est déjà dans le commentaire pour les servo version 1.2 ou 1.3 :) --- 2004/n/fpga/src/pwm/convert_pwm.vhd | 25 +++++++------------------ 1 file changed, 7 insertions(+), 18 deletions(-) (limited to '2004/n/fpga/src/pwm/convert_pwm.vhd') diff --git a/2004/n/fpga/src/pwm/convert_pwm.vhd b/2004/n/fpga/src/pwm/convert_pwm.vhd index a3ab92e..974b520 100644 --- a/2004/n/fpga/src/pwm/convert_pwm.vhd +++ b/2004/n/fpga/src/pwm/convert_pwm.vhd @@ -1,21 +1,10 @@ -------------------------------------------------------------------------------- ---convert_pwm.vhd ---Eurobot 2004 : APB Team ---Auteur : Fidèle GAFAN ---Module générateur des PWM --- ---REMARQUE(S):changer tccompt,q et data_out --- si CLK#32MHz et/ou qu'on modifie les valeurs de référence de T1 --- et T2 --- Tcmax=20ms/1us=20161cycles. ---*Si DATACOMPT=0,on veut que T2 vale 0,5ms donc on initialise Q à la valeur ---Q=0,5ms/1us=PWM_VALUE_MIN. ---*Si DATACOMPT=255,on veut que T2 vale 1,5ms donc on initialise Q à la valeur ---Q=1,5ms/1us=PWM_VALUE_MAX. ---*Pour toute autre valeur de DATACOMPT comprise entre les deux précédentes et ---différentes de ces dernières,on initialise Q avec ---Q=(0,5ms/1us)+(DATACOMPT*min[((1,5ms-0,5ms)/1us)/(255-0)] -------------------------------------------------------------------------------- +-- convert_pwm.vhd +-- Eurobot 2004 : APB Team +-- Auteur : Fidèle GAFAN et Pierre-André Galmes +-- Module générateur de signaux PWM. +-- Module convertisseur [0-255] vers [PWM_MIN_VALUE - PWM_MAX_VALUE]. + + --LIBRARY library ieee; use ieee.std_logic_1164.all; -- cgit v1.2.3