From 3e57117bfd426a9f99c64e0d35497277696e2641 Mon Sep 17 00:00:00 2001 From: prot Date: Tue, 20 Apr 2004 23:46:41 +0000 Subject: . --- 2004/n/fpga/src/decodisa/bch_decodisa.vhd | 10 +++++++--- 1 file changed, 7 insertions(+), 3 deletions(-) (limited to '2004/n/fpga/src/decodisa/bch_decodisa.vhd') diff --git a/2004/n/fpga/src/decodisa/bch_decodisa.vhd b/2004/n/fpga/src/decodisa/bch_decodisa.vhd index 5457481..91a6bc9 100644 --- a/2004/n/fpga/src/decodisa/bch_decodisa.vhd +++ b/2004/n/fpga/src/decodisa/bch_decodisa.vhd @@ -32,6 +32,8 @@ ARCHITECTURE behavior OF decodisa_bch IS SIGNAL adr_bus : std_logic_vector(23 downto 0):=(others => '0'); SIGNAL AEN : std_logic:='0'; + SIGNAL IORosc : std_logic:='0'; + SIGNAL IOWosc : std_logic:='0'; SIGNAL IOR : std_logic:='0'; SIGNAL IOW : std_logic:='0'; SIGNAL cs : std_logic_vector(255 downto 0); @@ -51,9 +53,11 @@ BEGIN ); adr_bus <= conv_std_logic_vector(unsigned(adr_bus) + 1 , 24) after 120 ns; -aen<= not aen after 1500 ns; -ior<= not ior after 70 ns; -iow<= not iow after 50 ns; +aen<= not aen after 13000 ns; +iorosc<= not iorosc after 7000 ns; +iowosc<= not iowosc after 5000 ns; +ior<=not(iorosc and not aen); +iow<=not(iowosc and not aen); END; -- cgit v1.2.3