summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/servo/convert_servo.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/servo/convert_servo.vhd')
-rw-r--r--2004/n/fpga/src/servo/convert_servo.vhd29
1 files changed, 8 insertions, 21 deletions
diff --git a/2004/n/fpga/src/servo/convert_servo.vhd b/2004/n/fpga/src/servo/convert_servo.vhd
index af1e948..e1e690f 100644
--- a/2004/n/fpga/src/servo/convert_servo.vhd
+++ b/2004/n/fpga/src/servo/convert_servo.vhd
@@ -1,21 +1,8 @@
--------------------------------------------------------------------------------
---convert_servo.vhd
---Eurobot 2004 : APB Team
---Auteur : Fidèle GAFAN
---Module générateur des PWM
---
---REMARQUE(S):changer tccompt,q et data_out
--- si CLK#32MHz et/ou qu'on modifie les valeurs de référence de T1
--- et T2
--- Tcmax=20ms/1us=20161cycles.
---*Si DATACOMPT=0,on veut que T2 vale 0,5ms donc on initialise Q à la valeur
---Q=0,5ms/1us=PWM_VALUE_MIN.
---*Si DATACOMPT=255,on veut que T2 vale 1,5ms donc on initialise Q à la valeur
---Q=1,5ms/1us=PWM_VALUE_MAX.
---*Pour toute autre valeur de DATACOMPT comprise entre les deux précédentes et
---différentes de ces dernières,on initialise Q avec
---Q=(0,5ms/1us)+(DATACOMPT*min[((1,5ms-0,5ms)/1us)/(255-0)]
--------------------------------------------------------------------------------
+-- convert_servo.vhd
+-- Eurobot 2004 : APB Team
+-- Auteur : Pierre-André Galmes
+-- Module convertisseur [0-255] vers [SERVO_MIN_VALUE - SERVO_MAX_VALUE].
+
--LIBRARY
library ieee;
use ieee.std_logic_1164.all;
@@ -28,9 +15,9 @@ use work.servo_const.all;
--ENTITY
entity convert_servo is
port (
- data_in : in T_OCTET;
- data_out : out T_DOUBLE_OCTET --duree pdt laql la sortie
- );
+ data_in : in T_OCTET;
+ data_out : out T_DOUBLE_OCTET
+);
end entity;