summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/pwm/pwm_generator.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/pwm/pwm_generator.vhd')
-rw-r--r--2004/n/fpga/src/pwm/pwm_generator.vhd4
1 files changed, 2 insertions, 2 deletions
diff --git a/2004/n/fpga/src/pwm/pwm_generator.vhd b/2004/n/fpga/src/pwm/pwm_generator.vhd
index f14c1e3..7b8f012 100644
--- a/2004/n/fpga/src/pwm/pwm_generator.vhd
+++ b/2004/n/fpga/src/pwm/pwm_generator.vhd
@@ -16,7 +16,7 @@ use work.pwm_const.all;
entity pwm_generator is
port (
rst : in std_logic;
- clk : in std_logic;
+ clk : in std_logic; -- clk 200ns
pwm_in : in T_DOUBLE_OCTET;
pwm_out : out std_logic
);
@@ -40,7 +40,7 @@ begin
pwm_out <= '1';
else
pwm_out <= '0';
- if (compt = PWM_NB_CYCLE_20MS) then
+ if (compt = PWM_NB_CYCLE_PERIODE) then
compt <= x"0000";
reg <= pwm_in;
end if;