summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/pwm/clk1us.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/pwm/clk1us.vhd')
-rw-r--r--2004/n/fpga/src/pwm/clk1us.vhd16
1 files changed, 6 insertions, 10 deletions
diff --git a/2004/n/fpga/src/pwm/clk1us.vhd b/2004/n/fpga/src/pwm/clk1us.vhd
index 3614b5a..2fc2705 100644
--- a/2004/n/fpga/src/pwm/clk1us.vhd
+++ b/2004/n/fpga/src/pwm/clk1us.vhd
@@ -1,14 +1,10 @@
--------------------------------------------------------------------------------
---clk1us.vhd
---Eurobot 2004 : APB Team
---Auteur : Fidèle GAFAN
---Module générateur d'horloge 1us-périodique(0,992us en réalité)
+-- clk1us.vhd
+-- Eurobot 2004 : APB Team
+-- Auteur : Fidèle GAFAN et Pierre-André Galmes
+-- Module générateur d'horloge 1us-périodique.
--
---REMARQUE(S):changer compt si CLK#32MHz
---Les calculs ont été faits avec CLK=32MHz
---donc 31*(1/CLK)=1us
---d'où compt=31
--------------------------------------------------------------------------------
+-- REMARQUE(S): pour les constantes, se reporter à pwm_const.vhd
+
library ieee;
use ieee.std_logic_1164.all;