summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/rxserie.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/portserie/rxserie.vhd')
-rw-r--r--2004/n/fpga/src/portserie/rxserie.vhd28
1 files changed, 16 insertions, 12 deletions
diff --git a/2004/n/fpga/src/portserie/rxserie.vhd b/2004/n/fpga/src/portserie/rxserie.vhd
index c44536b..21c8080 100644
--- a/2004/n/fpga/src/portserie/rxserie.vhd
+++ b/2004/n/fpga/src/portserie/rxserie.vhd
@@ -32,18 +32,22 @@ library ieee;
library ieee.std_logic_1164.all;
entity rxserie is
-generic(adr : integer);
-constant adr_w : integer :=10;
-port(
- adrbus: in std_logic_vector((adr_w - 1) downto 0);
- databus: inout std_logic_vector(7 downto 0);
- rw: in std_logic;
- busck: in std_logic;
- rst: in std_logic;
- masterck: in std_logic;
- rxin: in std_logic;
- FNEIout: out std_logic;
- FFIout: out std_logic;
+ generic (
+ -- adresses des différents registres du module.
+ A_DATA : T_ADDRESS;
+ A_CONFIG : T_ADDRESS;
+ A_FLAG : T_ADDRESS
+ );
+ port (
+ rst : in std_logic;
+ clk : in std_logic;
+ rw : in std_logic; -- read (0) / write (1)
+ bus_data : inout T_DATA;
+ bus_address : in T_ADDRESS;
+ masterck: in std_logic;
+ rxin: in std_logic;
+ FNEIout: out std_logic;
+ FFIout: out std_logic
);
end rxserie;