summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/isa_const.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/portserie/isa_const.vhd')
-rw-r--r--2004/n/fpga/src/portserie/isa_const.vhd27
1 files changed, 0 insertions, 27 deletions
diff --git a/2004/n/fpga/src/portserie/isa_const.vhd b/2004/n/fpga/src/portserie/isa_const.vhd
deleted file mode 100644
index 12ab384..0000000
--- a/2004/n/fpga/src/portserie/isa_const.vhd
+++ /dev/null
@@ -1,27 +0,0 @@
--- isa_const.vhd
--- Eurobot 2004 : APB Team
--- Auteur : Pierre-André Galmes
--- Fichier de déclaration de constantes.
-
--- RQ : pour une indentation bien sous vim :
--- 1 - ":set shiftwidth=4"
--- 2 - se placer sous "package nono_const is"
--- 3 - tapez : = puis shift+G
-
-library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_arith.all;
-use ieee.std_logic_unsigned.all;
-
-
--- Constantes relatives au bus ISA
-package isa_const is
-
- -- Temps d'une période d'horloge sur le bus ISA
- constant ISA_CK_PERIOD : time := 50 ns;
-
- -- Ligne RW : lecture et écriture
- constant ISA_READ : std_logic := '0';
- constant ISA_WRITE : std_logic := '1';
-end isa_const;
-