summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/fifo/fifodriver.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/portserie/fifo/fifodriver.vhd')
-rw-r--r--2004/n/fpga/src/portserie/fifo/fifodriver.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/2004/n/fpga/src/portserie/fifo/fifodriver.vhd b/2004/n/fpga/src/portserie/fifo/fifodriver.vhd
index 3830e93..11d1955 100644
--- a/2004/n/fpga/src/portserie/fifo/fifodriver.vhd
+++ b/2004/n/fpga/src/portserie/fifo/fifodriver.vhd
@@ -61,7 +61,7 @@ signal wr_err:std_logic;
begin
-- Component Instantiation
-U0 : sfifo
+fifo0 : sfifo
port map (
clk => clk,
sinit => rst,