summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/fifo.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/portserie/fifo.vhd')
-rw-r--r--2004/n/fpga/src/portserie/fifo.vhd5
1 files changed, 3 insertions, 2 deletions
diff --git a/2004/n/fpga/src/portserie/fifo.vhd b/2004/n/fpga/src/portserie/fifo.vhd
index f2a7d22..9023596 100644
--- a/2004/n/fpga/src/portserie/fifo.vhd
+++ b/2004/n/fpga/src/portserie/fifo.vhd
@@ -79,8 +79,8 @@ FIFO1:fifobehav
write_data_in=>data_in,
fifo_gsr_in=>purge,
read_data_out=>data_out,
- full_out=>flags(5),
- empty_out=>flags(4),
+ full_out=>flags(4),
+ empty_out=>flags(5),
fifocount_out=>flags(3 downto 0)
);
@@ -104,3 +104,4 @@ end rtl;
+