summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/fifo.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/portserie/fifo.vhd')
-rw-r--r--2004/n/fpga/src/portserie/fifo.vhd10
1 files changed, 7 insertions, 3 deletions
diff --git a/2004/n/fpga/src/portserie/fifo.vhd b/2004/n/fpga/src/portserie/fifo.vhd
index 04ff690..35ad154 100644
--- a/2004/n/fpga/src/portserie/fifo.vhd
+++ b/2004/n/fpga/src/portserie/fifo.vhd
@@ -5,9 +5,9 @@
library ieee;
use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
-use ieee.numeric_std.all;
use work.nono_const.all;
@@ -61,8 +61,10 @@ signal clock_fifo:std_logic;
begin
-- conversion de types :
--data_in_s <= TO_STDLOGICVECTOR(unsigned(data_in),8);
-data_out <= TO_UNSIGNED(CONV_INTEGER(data_out_s),8);
-data_in_s <= conv_std_logic_vector(data_input);
+--data_in_s <= conv_std_logic_vector(data_input);
+
+data_in_s <= conv_std_logic_vector(unsigned(data_input),data_input'length);
+data_out <= conv_unsigned(CONV_INTEGER(data_out_s),8);
FIFO1:fifoctlr_cc
port map(
@@ -93,3 +95,5 @@ end rtl;
+
+