summaryrefslogtreecommitdiff
path: root/2004/n/fpga/src/portserie/decoder.vhd
diff options
context:
space:
mode:
Diffstat (limited to '2004/n/fpga/src/portserie/decoder.vhd')
-rw-r--r--2004/n/fpga/src/portserie/decoder.vhd33
1 files changed, 33 insertions, 0 deletions
diff --git a/2004/n/fpga/src/portserie/decoder.vhd b/2004/n/fpga/src/portserie/decoder.vhd
new file mode 100644
index 0000000..5f5afa0
--- /dev/null
+++ b/2004/n/fpga/src/portserie/decoder.vhd
@@ -0,0 +1,33 @@
+-- decoder.vhd
+-- Eurobot 2004 : APB Team
+-- Auteur : Pierre Prot
+-- décodeur
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_arith.all;
+use ieee.std_logic_unsigned.all;
+
+use work.nono_const.all;
+
+entity decoder is
+ generic(adr : unsigned);
+ port(
+ bus_address: in unsigned((NB_BIT_ADDRESS - 1) downto 0);
+ cs: out std_logic
+ );
+end decoder;
+
+architecture rtl of decoder is
+begin
+process(bus_address)
+begin
+ if(bus_address=adr)
+ then
+ cs<='1';
+ else
+ cs<='0';
+ end if;
+end process;
+end rtl;
+